数字IC后端领域技术分享一周年,mark下!(免费赠书!)

数字IC后端领域技术分享一周年,mark下!(免费赠书!)

转眼间,小编的公众号已经一岁了。对的,就是去年的今天,小编发布了第一篇原创技术文章。截止至今天,总共发布78篇原创技术分享类文章,主要内容涉及数字后端Floorplan,Powerplan,Placement,时钟树综合CTS,Route,ECO,静态时序分析STA,物理验证PV等内容。

在这个特殊的日子里,怎么也得搞个小活动,感谢各位老铁们一年以来的陪伴和支持。来吧,看好下面两个活动。

活动1:星球门票从原来的208元临时调整为168元,限五个名额。

活动2:转发本文到朋友圈,集赞排名前五名,均赠送纸质版《Low Power Flow -Physical Implementation (Back End)》一本,包邮!

活动说完了,下面进入本周的内容分享。

经常有粉丝问,有没有什么好的数字IC后端培训资料?我经常给出的答案都是,好好看小编公众号的所有技术经验分享,认真研究,彻底搞明白了,整个数字IC后端基本上你就都搞清楚了,也不必去报什么培训班了,更不用到处找学习资料。

小编曾经不止一次说过,整个数字IC后端最难的应该说是时钟树综合。如果再复杂的时钟结构设计,你都能够轻松快速得到一个高质量的时钟树,那么对你来说,数字IC后端的工作就是so easy。然而实际情况是大部分数字IC后端工程师仍然停留在跑flow,按部就班设置参数来做时钟树综合,甚至不懂如何去debug时钟树质量。

今天小编将分享星球上某星友就时钟树综合提问的一个问题。希望大家能够借此问题,自我考核,自我肯定,自我反省。


“关于时钟树综合cts这块,面试官会感兴趣哪些方面的问题”

这个问题的答案其实在公众号的历史推文或者星球上的问答,都分享过了,只是答案可能分散在好几篇文章中而已。那么,针对这个问题,小编先以面试官的身份,抛砖引玉,列举一系列时钟树综合相关的问题。

为什么要做时钟树综合?时钟树综合的目的是什么?

clock tree的衡量标准有哪些?

做clock tree前要做哪些准备工作?要怎么分析时钟结构?

如何写时钟树综合约束文件 constraint?

时钟的同步异步关系,如何定义时钟的同步异步的关系?

如何获知同步异步关系?

如何判断时钟树长的是否合理?如果不合理要怎么处理? 如果发现某个时钟的clock tree特别的长,请问可能原因有哪些?

如何debug 时钟树?一般debug时要从哪几方面着手?

如果时钟路径上的一个mux,一个输入是时钟路径,另外一个输入是data path,那么在做时钟树综合时,可能出现什么问题?是否需要做特殊处理?

如何对时钟树进行eco,有什么注意事项?

如何把tree做短?

create_clock和create_generated_clock 如何长tree?

如何做data inter-balance?如何做clock的inter-balance?

典型的时钟mux切换电路,如何写cts constraint?

小编知识星球简介:

在这里,目前已经规划并正着手做的事情:

  • ICC/ICC2 lab的编写
  • 基于ARM CPU的后端实现流程
  • 利用ICC中CCD(Concurrent Clock Data)实现高性能模块的设计实现
  • 基于ARM 四核CPU 数字后端Hierarchical Flow 实现教程
  • 时钟树结构分析
  • 低功耗设计实现

    定期将项目中碰到的问题以案例的形式做技术分享

在这里,各位可以就公众号推文的内容或者实际项目中遇到的难题提问,小编会在24小时内给予解答(也可以发表你对数字后端设计实现中某个知识点的看法,项目中遇到的难点,困惑或者职业发展规划等)。

反正它是一个缩减版的论坛,增强了大家的互动性。更为重要的是,微信有知识星球的小程序入口。星球二维码如下,可以扫描或者长按识别二维码进入。目前已经有115位星球成员,感谢这115位童鞋的支持!欢迎各位铁杆粉丝加入!终极目标是打造实现本知识星球全员年薪百万的宏伟目标。(星球的门票即将调整到228元/年,有需求的朋友趁早上车,目前价格已经提高至208元/年,折算每天需要六毛钱




史上最全的数字IC后端培训视频链接:

2019年数字IC后端校招笔试题目(附数字后端培训视频教程)

年度经典文章归类(2018.02.27--2019.02.27)

Import design

数字IC后端设计实现流程之initial design

Floorplan&Powerplan

【机密】从此没有难做的floorplan(数字后端设计实现floorplan篇)

数字IC后端设计实现floorplan及powerplan规划

盘点数字后端设计实现用到的各种文件

Placement

想要彻底掌握placement各种技巧,这个一定可以如你所愿!

Scan chain reordering 怎么用你知道吗?

数字后端实现时congestion比较严重,你hold得住吗?

数字后端实现place过程进阶

Clock Tree Synthesis

深度解析Create_clock与Create_generated_clock的区别

数字IC后端设计实现之时钟树综合答疑篇

clock jitter是否对hold time有影响?(文末有福利)

为什么时钟树上要用clock inverter(min pulse width check)

一网打尽时钟树综合Clock Skew

数字后端设计实现之时钟树综合实践篇

合理的时钟结构能够加速Timing收敛(时钟树综合中级篇)

秒杀数字后端实现中clock gating使能端setup violation问题

数字后端实现时congestion比较严重,你hold得住吗?

时钟树综合(clock tree synthesis)基础篇

项目后期踩到这些坑,原来可以这么简单处理!(数字后端实现救火篇)

Route

数字后端设计实现中route阶段的那些事

如何用工具自动修复数字IC后端设计实现绕线后的Physical DRC?

教你轻松玩转天线效应(Process Antenna Effect)

项目后期踩到这些坑,原来可以这么简单处理!(数字后端实现救火篇)

ECO

Lockup latch的用法,看这个就够了!

听说Latch可以高效修hold违例(Timing borrowing及其应用)

项目后期踩到这些坑,原来可以这么简单处理!(数字后端实现救火篇)

数字IC设计中ECO的那些事,其实并不是事!

你与数字后端大神的差距在这里,快来瞧瞧!

Timing

深度揭秘异步复位同步释放原理

深度解析Create_clock与Create_generated_clock的区别

揭秘为何net delay是负值(数字后端实现时序篇)

PBA(Path Base Analysis)想说爱你不容易(静态时序分析基础篇)

【惊呆了!】你居然还在用flatten方式进行timing signoff

听说Latch可以高效修hold违例(Timing borrowing及其应用)

CRPR能补偿crosstalk吗?

原来电路最高工作频率是这么算出来的(STA基础篇)

教你轻松调DCT和ICC之间Timing与Congestion的一致性

深入浅出讲透set_multicycle_path,从此彻底掌握它

Low Power

低功耗设计基础篇

数字IC后端设计实现中Magnment placement详细用法

这些低功耗设计实现经验,你真的懂了吗?

低功耗设计实现中secondary power pin的连接方法汇总

秒杀数字后端实现中clock gating使能端setup violation问题

基于Physical Aware的动态功耗优化实现方案

浅谈数字IC低功耗设计的若干种低功耗设计方案


Physical Verification


教你轻松玩转天线效应(Process Antenna Effect)

LVS 就是这么简单!(数字后端物理验证篇)


IR Drop Analysis


IR Drop分析之Redhawk分析流程

数字IC设计后端实现前期预防IR Drop的方法汇总


经典数字后端面试问答


数字后端自主命题题目大揭秘(附知识星球本周问答精选)

2019年数字IC后端校招笔试题目(附数字后端培训视频教程)

温度反转效应(文末附2018数字IC后端最新校招笔试题目)

数字后端面试问答No.22-24(每日三问)

数字后端面试问答No.19-21(每日三问)

数字后端面试问答No.16-18

数字后端面试问答No.13-15(每日三问)

数字后端面试问答No.10-12(每日三问)

数字后端面试问题No.7-9(每日三问)

数字后端面试问答No.4-6(每日三问)

数字后端面试问答No.1-3(每日三问)

行业动态&福利

今年你们发年终奖了吗? 聊聊年终奖背后的市场逻辑

2019年数字IC校招薪酬火爆出炉,你被倒挂了吗?

我是如何在五年内实现年薪60万?

数字IC后端培训需求调查

IC自媒体访谈:吾爱IC社区

2018年最新机器学习实战视频教程

【下载】复旦名师陈果的幸福哲学课

比特大陆裁员背后的一点思考

【粉丝福利】最新热映电影资源

《复仇者联盟3:无限战争》影视资源下载

15天零基础入门到精通python-最全的视频教程

【大师必备】最全的数字IC设计经典书籍电子版下载

【福利】数字IC后端各种Userguide下载

发布于 2019-02-27 22:56