项目名称: 三维集成电路的热、应力分析及协同物理设计研究

项目编号: No.61376040

项目类型: 面上项目

立项/批准年度: 2013

项目学科: 无线电电子学、电信技术

项目作者: 曾璇

作者单位: 复旦大学

项目金额: 80万元

中文摘要: 三维集成电路通过硅通孔(TSV)实现垂直方向的芯片堆叠,大幅提高芯片集成度,减少互连长度,提高芯片性能,并能实现不同工艺芯片集成,是延续摩尔定律的国际集成电路的重要发展方向。本课题针对三维集成电路中热、应力导致的电迁移、互连失效、性能下降等问题以及三维集成电路设计复杂度问题开展研究,1)提出了基于并行自适应有限元创新数学方法,建立全芯片三维集成电路的热分析、应力分析快速仿真方法,能够应用于指导物理设计的热分析、应力分析的快速模型;2)提出了TSV应力和热协同的物理设计方法;3)证明了TSV分配问题是NP完全问题,并提出了一种基于最短路径搜索、二分图匹配、最小费用最大流计算和后处理的硅通孔分配算法。这些新理论和新方法的研究将大幅提高三维集成电路设计和分析的效率,提升三维集成电路的性能和可靠性。本课题对推动我国开展国际前沿的三维集成电路设计方法研究和EDA技术开发具有重要理论价值和应用前景。

中文关键词: 三维集成电路;热分析;物理设计;可制造性设计;电路优化

英文摘要: 3D IC integration is an advanced technology which enables vertical integration of silicon dies forming a single 3D-IC stack. 3D IC ingegration can reduce interconnect wire length and achieve higher integration, higher performance and lower cost, even inte

英文关键词: 3D IC;Thermal Analysis;Physical Design;Design for Manufacturability;Circuit Optimization

成为VIP会员查看完整内容
0

相关内容

计算体系架构研究综述与思考
专知会员服务
63+阅读 · 2022年3月21日
【博士论文】分形计算系统
专知会员服务
32+阅读 · 2021年12月9日
专知会员服务
36+阅读 · 2021年7月17日
专知会员服务
18+阅读 · 2021年6月29日
图表示学习在药物发现中的应用,48页ppt
专知会员服务
95+阅读 · 2021年4月30日
【博士论文】分形计算系统
专知
2+阅读 · 2021年12月9日
【仿真】国内外CAE软件的差距及自主路
产业智能官
32+阅读 · 2018年12月20日
国家自然科学基金
1+阅读 · 2015年12月31日
国家自然科学基金
0+阅读 · 2014年12月31日
国家自然科学基金
0+阅读 · 2014年12月31日
国家自然科学基金
0+阅读 · 2014年12月31日
国家自然科学基金
0+阅读 · 2013年12月31日
国家自然科学基金
0+阅读 · 2013年12月31日
国家自然科学基金
0+阅读 · 2012年12月31日
国家自然科学基金
0+阅读 · 2012年12月31日
国家自然科学基金
1+阅读 · 2011年12月31日
国家自然科学基金
0+阅读 · 2011年12月31日
Arxiv
0+阅读 · 2022年4月20日
Arxiv
13+阅读 · 2019年11月14日
小贴士
相关VIP内容
相关基金
国家自然科学基金
1+阅读 · 2015年12月31日
国家自然科学基金
0+阅读 · 2014年12月31日
国家自然科学基金
0+阅读 · 2014年12月31日
国家自然科学基金
0+阅读 · 2014年12月31日
国家自然科学基金
0+阅读 · 2013年12月31日
国家自然科学基金
0+阅读 · 2013年12月31日
国家自然科学基金
0+阅读 · 2012年12月31日
国家自然科学基金
0+阅读 · 2012年12月31日
国家自然科学基金
1+阅读 · 2011年12月31日
国家自然科学基金
0+阅读 · 2011年12月31日
微信扫码咨询专知VIP会员